多電源域設計的仿真驗證方法
1. 更復雜的 Testbench 例子(多電源域、復雜低功耗場景)
假設有兩個電源域 PD1 和 PD2,分別對應控制信號 pwr_sw_ctrl1
、iso_ctrl1
、ret_ctrl1
和 pwr_sw_ctrl2
、iso_ctrl2
、ret_ctrl2
,且兩域之間有通信。
RTL 端口聲明(簡化版)
module top (input wire clk,input wire rst_n,// PD1 控制信號input wire pwr_sw_ctrl1,input wire iso_ctrl1,input wire ret_ctrl1,// PD2 控制信號input wire pwr_sw_ctrl2,input wire iso_ctrl2,input wire ret_ctrl2,// 業務信號input wire [7:0] data_in,output wire [7:0] data_out
);// ... 設計內容
endmodule
更復雜的 Testbench 示例
module tb_top;reg clk;reg rst_n;// PD1 控制信號reg pwr_sw_ctrl1;reg iso_ctrl1;reg ret_ctrl1;// PD2 控制信號reg pwr_sw_ctrl2;reg iso_ctrl2;reg ret_ctrl2;