uvm-tlm-sockets

TLM 2.0引入了套接字(Socket)機制,實現發起方(initiator)與目標方(target)組件間的異步雙向數據傳輸。套接字與端口(port)和導出(export)同源,均繼承自uvm_port_base基類。發起事務的組件使用發起方套接字(initiator socket),稱為發起方;接收事務的組件使用目標方套接字(target socket),稱為目標方。需注意:發起方套接字僅能連接目標方套接字,目標方套接字僅能連接發起方套接字。

TestBench

tlm-socket

?

讓我們來看看啟動器組件,了解套接字是如何聲明和使用的。b_transport() 方法中使用的時序注解參數允許時序點從調用和返回任務的仿真時間中偏移。

class initiator extends uvm_component;`uvm_component_utils (initiator)// Declare a blocking transport socket (using initiator socket class)uvm_tlm_b_initiator_socket #(simple_packet) initSocket;uvm_tlm_time   delay;simple_packet  pkt;function new (string name = "initiator", uvm_component parent= null);super.new (name, parent);endfunctionvirtual function void build_phase (uvm_phase phase);super.build_phase (phase);// Create an instance of the socketinitSocket = new ("initSocket", this);delay = new ();endfunctionvirtual task run_phase (uvm_phase phase);// Let us generate 5 packets and send it via socketrepeat (5) beginpkt = simple_packet::type_id::create ("pkt");assert(pkt.randomize ());`uvm_info ("INIT", "Packet sent to target", UVM_LOW)pkt.print (uvm_default_line_printer);// Use the socket to send datainitSocket.b_transport (pkt, delay);endendtask
endclass

考慮目標套接字,你會發現它與我們在前幾次課程中看到的端口和導出方案非常相似。

class target extends uvm_component;`uvm_component_utils (target)// Declare a blocking target socketuvm_tlm_b_target_socket #(target, simple_packet) targetSocket;function new (string name = "target", uvm_component parent = null);super.new (name, parent);endfunctionvirtual function void build_phase (uvm_phase phase);super.build_phase (phase);// Create an instance of the target sockettargetSocket = new ("targetSocket", this);endfunction// Provide the implementation method of b_transport in the target classtask b_transport (simple_packet pkt, uvm_tlm_time delay);`uvm_info ("TGT", "Packet received from Initiator", UVM_MEDIUM)pkt.print (uvm_default_line_printer);endtask
endclass

缺失的一環是兩個套接字之間的連接,而實現這一連接的最佳位置是在初始化器和目標組件都被實例化的環境中。

class my_env extends uvm_env;`uvm_component_utils (my_env)initiator   init;target      tgt;function new (string name = "my_env", uvm_component parent = null);super.new (name, parent);endfunctionvirtual function void build_phase (uvm_phase phase);super.build_phase (phase);// Create an object of both componentsinit = initiator::type_id::create ("init", this);tgt = target::type_id::create ("tgt", this);endfunction// Connect both sockets in the connect_phasevirtual function void connect_phase (uvm_phase phase);init.initSocket.connect (tgt.targetSocket);endfunction
endclass

本文來自互聯網用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務,不擁有所有權,不承擔相關法律責任。
如若轉載,請注明出處:http://www.pswp.cn/diannao/93742.shtml
繁體地址,請注明出處:http://hk.pswp.cn/diannao/93742.shtml
英文地址,請注明出處:http://en.pswp.cn/diannao/93742.shtml

如若內容造成侵權/違法違規/事實不符,請聯系多彩編程網進行投訴反饋email:809451989@qq.com,一經查實,立即刪除!

相關文章

AI 如何評價股票:三七互娛(SZ:002555),巨人網絡(SZ:002558)

三七互娛(SZ:002555)作為國內領先的游戲公司,其股票表現需結合財務健康度、行業地位、戰略布局及潛在風險綜合評估。以下從多維度展開分析: 一、財務表現:增長乏力與高分紅并存營收與利潤雙降 2025年Q1營收42.43億元&a…

Vibe Coding:AI驅動開發的安全暗礁與防護體系

當OpenAI聯合創始人Andrej Karpathy在2025年初的推文里首次提及"Vibe Coding"時,這個概念迅速在開發者社區引發共鳴——它描繪了一種誘人的開發模式:開發者用自然語言描述需求,AI接管代碼生成、修改甚至調試,整個過程以…

四、主輔源電路

一、主輔源結構主輔源采用反激變換器拓撲,輸入供電有母線供電、電池輔源供電、電網輔源供電。開關管為一個高耐壓NMOS功率管。主控芯片采用ICE3BS03LJG,其主要參數如下:商品目錄AC-DC控制器和穩壓器是否隔離隔離工作電壓10.5V~26V開關頻率65k…

制造業企業如何保障文件外發圖紙數據安全的?

在制造業的發展進程中,文件外發是必不可少的環節,但這也給圖紙數據安全帶來了諸多挑戰。一旦圖紙數據泄露,企業的核心競爭力可能會受到嚴重損害。那么,制造業企業該如何保障文件外發圖紙數據安全呢?建立完善的管理制度…

RAG:讓AI更聰明的“外接大腦“ | AI小知識

RAG:讓AI更聰明的"外接大腦" 什么是RAG? 想象你在參加知識競賽,突然遇到不會的題目。這時你掏出手機快速搜索正確答案——這就是RAG(Retrieval-Augmented Generation,檢索式增強生成)的工作原理。…

TCP 連接管理 之 三次握手詳解

TCP 連接管理 之 三次握手詳解 (一)TCP三次握手詳細過程及狀態變化 1. 第一次握手(客戶端 → 服務器) 報文標志位:SYN1(同步序列號),ACK0(首次握手無確認)序列…

day066-內容分發網絡(CDN)與web應用防火墻(WAF)

文章目錄0. 老男孩思想-老男孩名言警句1. 云產品日常管理2. 創建快照策略3. CDN 內容分發網絡3.1 添加域名3.2 配置CNAME3.3 測試3.4 CDN刷新/預熱3.5 命中率3.5.1 查看命中率3.5.2 CDN命中率低怎么辦?3.6 訪問控制3.7 流量限制4. WAF web應用防火墻4.1 使用WAF4.2 …

Redis高頻問題全解析

Q1: Redis為什么這么快? Redis速度快主要有四個核心原因。首先是基于內存操作,所有數據都存儲在內存中,避免了磁盤I/O的開銷,內存讀寫速度比磁盤快幾萬倍。其次采用單線程模型,避免了多線程環境下的線程切換和鎖競爭帶…

【MATLAB】(三)數據類型與運算符

一.MATLAB的數據存儲類型一般而言,在 MATLAB 中數據的存儲與計算都是以雙精度進行的,但有多種顯示形式。在默認情況下,若數據為整數,就以整數表示;若數據為實數,則以保留小數點后4位的精度近似表示。用戶可以改變數字顯…

智能客服 VS 人工客服:電商企業該選哪種服務模式?

在數字化浪潮的推動下,電商企業對客戶服務的效率和質量提出了更高要求。智能客服與人工客服作為兩種主流服務模式,各有優劣。本文將從服務效率、成本投入、客戶體驗等維度,客觀分析兩者的特點,幫助電商企業做出合理選擇。一、服務…

前端基礎之《Vue(28)—Vue3 ref相關API》

ref相關API介紹1、ref()(1)ref介紹 ref用于定義基本數據類型,比如:string / boolean / number等(因為這幾個沒辦法代理)。 ref的背后是使用reactive來實現的響應式。 使用.value來訪問變量的值。&#xff0…

項目管理中如何避免延期?核心策略分析

在項目管理中避免延期,并非依賴于單一技巧,而是要構筑一個系統性的、多維度的防御體系。其核心策略涵蓋了:進行全面細致的前期規劃與估算、實施嚴格的范圍管理與變更控制、建立主動式全過程風險管理機制、維持高透明度的持續溝通、以及采用數…

【計算機視覺與代碼大模型全景解析:從理論基礎到學習路線】

📘計算機視覺與代碼大模型全景解析:從理論基礎到學習路線📑 目錄1?? 摘要2?? 計算機視覺與大模型基礎理論??2.1 🧠 深度卷積神經網絡基礎原理??2.2 👁??🗨? 計算機視覺的技術發展與視覺圖靈概念…

力扣-104. 二叉樹的最大深度

題目鏈接 104. 二叉樹的最大深度 class Solution {public int maxDepth(TreeNode root) {if (root null)return 0;return Math.max(maxDepth(root.left), maxDepth(root.right)) 1;} }小結:秒了!!!

在超算平臺異構加速卡AI * 1卡的Ubuntu20.04環境下安裝docker服務(未成功)

為了使用dify,需要安裝docker服務,于是在超算平臺異構加速卡AI * 1卡的Ubuntu20.04環境下嘗試安裝docker服務 首先要看下系統是Ubuntu的哪個版本: cat /etc/os-release NAME"Ubuntu" VERSION"20.04.1 LTS (Focal Fossa)"…

[特殊字符] 深入解析String的不可變性:Java字符串設計的精妙之處

一、什么是String的不可變性? String的不可變性是Java語言中一個基礎但極其重要的概念。簡單來說:一旦一個String對象被創建,它的值就永遠不能被改變。任何看似"修改"字符串的操作,實際上都是創建了一個全新的String對象。 生活中的類比 想象一個刻在石頭上的文字…

基于FRP + ttyd 的遠程Web終端控制:無SSH環境下的救急方案

基于FRP ttyd 的遠程Web終端控制:無SSH環境下的救急方案一、背景:當遠程訪問陷入困境時二、方案:FRP內網穿透 ttyd Web終端三、操作步驟詳解(Linux環境)0. 前提條件1. 在被控服務器上創建工作目錄2. 下載必要的軟件3…

用 AI 自動生成口型同步視頻,短視頻內容也能一人完成

近幾年做跨境電商或內容運營的同學,應該都能感受到視頻內容正逐漸從“錦上添花”變成了“必選項”。尤其是 TikTok、Instagram Reels、Facebook 短視頻、甚至一些獨立站內嵌視頻講解頁,對帶講解、有人臉、自然語音的視頻內容都有顯著的轉化提升作用。但實…

全基因組關聯分析(GWAS)中模型參數選擇:MLM、GLM與FarmCPU的深度解析

全基因組關聯分析(GWAS)是識別與復雜性狀相關的遺傳變異的重要工具。然而,模型選擇不當會導致假陽性率升高或統計功效降低。本文將為大家介紹GWAS中如何選擇合適的模型參數,重點解析廣義線性模型(GLM)、混合線性模型(MLM)和FarmCPU三種主流模型的原理、區…

6.蘋果ios逆向-過ssl證書檢測-安裝SSL Kill Switch 3

免責聲明:內容僅供學習參考,請合法利用知識,禁止進行違法犯罪活動! 內容參考于:圖靈Python學院 工具下載: 鏈接:https://pan.baidu.com/s/1bb8NhJc9eTuLzQr39lF55Q?pwdzy89 提取碼&#xff1…