1 概述
????????常用的與全局時鐘資源相關的Xilinx器件原語包括:
- IBUFG
- IBUFGDS、OBUFGDS 和?IBUFDS、OBUFDS
- BUFG
- BUFGP
- BUFGCE
- BUFGMUX
- BUFGDLL
- IBUFDS_GTXE1
- IBUFDS_GTE2
- IBUFDS_GTE3
- OBUFDS_GTE3
- IBUFDS_GTE4
- OBUFDS_GTE4
- DCM
剛開始看到這寫源語,免不了好奇這些源語對應的是哪些器件和官方文檔?
6系列 7系列和ultrascale系列有什么區別?
如何正確的使用時鐘網絡?
2 源語簡要說明
IBUFG ?
Single-ended Input Global Clock Buffer
即輸入全局緩沖,是與專用全局時鐘輸入管腳相連接的首級全局緩沖。所有從全局時鐘管腳輸入的信號必須經過IBUF元,否則在布局布線時會報錯。 IBUFG支持AGP、CTT、GTL、GTLP、HSTL、LVCMOS、LVDCI、LVDS、LVPECL、LVTTL、PCI、PCIX和 SSTL等多種格式的IO標準。
IBUFGDS、OBUFGDS
?IBUFGDS是專用差分信號輸入緩沖器
?OBUFGDS是專用差分信號輸出緩沖器
對比?IBUFDS、OBUFDS,IBUFGDS、OBUFGDS是對應差分時鐘信號
?IBUFDS、OBUFDS是差分信號的輸入輸出全局緩沖
BUFG
全局緩沖,它的輸入是IBUFG的輸出,BUFG的輸出到達FPGA內部的IOB、CLB、選擇性塊RAM的時鐘延遲和抖動最小。
BUFGP
BUFGP相當于IBUG加上BUFG。
BUFGCE
Global Clock Buffer w/ Enable:帶有時鐘使能端的全局緩沖。它有一個輸入I、一個使能端CE和一個輸出端O。只有當BUFGCE的使能端CE有效(高電平)時,BUFGCE才有輸出。 (帶有時鐘使能端的BUFG)
BUFGMUX
?BUFGMUX是全局時鐘選擇緩沖,它有I0和I1兩個輸入,一個控制端S,一個輸出端O。當S為低電平時輸出時鐘為I0,反之為I1。需要指出的是BUFGMUX的應用十分靈活,I0和I1兩個輸入時鐘甚至可以為異步關系。
BUFGDLL
是全局緩沖延遲鎖相環,相當于BUFG與DLL的結合。BUFGDLL在早期設計中經常使用,用以完成全局時鐘的同步和驅動等功能。隨著數字時鐘管理單元(DCM)的日益完善,目前BUFGDLL的應用已經逐漸被DCM所取代。
IBUFDS GTXE、GTE1/2/3/4
IBUFDS: reference clock input 6 series GTP FPGAs
IBUFDS_GTXE1: reference clock input 6 series GTX FPGAs
IBUFDS_GTE2: reference clock input 7 series GTP/GTX/GTH FPGAs
IBUFDS_GTE3: reference clock input UltraScale GTH FPGAs
OBUFDS_GTE3: reference clock output UltraScale GTH FPGAs
IBUFDS_GTE4: reference clock input UltraScale+ GTY FPGAs
OBUFDS_GTE4: reference clock output UltraScale+ GTY FPGAs
DCM
數字時鐘管理單元,主要完成時鐘的同步、移相、分頻、倍頻和去抖動等。DCM與全局時鐘有著密不可分的聯系,為了達到最小的延遲和抖動,幾乎所有的DCM應用都要使用全局緩沖資源。DCM可以用Xilinx ISE軟件中的Architecture Wizard直接生成。
3 器件和GT的速度
Spartan 6? GTP (3.2Gb/s):功耗和成本優化的收發器,適用于成本敏感型應用
7 系列 GTP (6.6Gb/s):針對消費類和傳統串行標準的功耗優化收發器
UltraScale+ GTH (16.3Gb/s):低功耗和高性能,適合最堅固的背板
UltraScale+ GTY (32.75Gb/s):為最快的光學和背板應用提供最大 NRZ 性能;適用于芯片到芯片、芯片到光學器件和 28G 背板的 33G 收發器
UltraScale? GTH (16.3Gb/s):低功耗和高性能,適合最堅固的背板
UltraScale GTY (30.5Gb/s):光學和背板應用的高性能;適用于芯片到芯片、芯片到光學器件和 28G 背板的 30G 收發器
4 源語對應的器件和官方文檔資料
Spartan-6 FPGA GTP Transceivers User Guide (UG386):AMD Adaptive Computing Documentation Portal
參考時鐘在軟件中使用IBUFDS原語實例化。控制參考時鐘的端口和屬性沒有綁定到每個IBUFDS,而是映射到GTPA1_DUAL
Virtex-6 FPGA GTX Transceivers User Guide (UG366):AMD Adaptive Computing Documentation Portal
參考時鐘在軟件中使用IBUFDS_GTXE1軟件原語實例化。控制參考時鐘輸入的端口和屬性綁定到IBUFDS_GTXE1軟件原語。
7 Series FPGAsGTP Transceivers(UG482):AMD Adaptive Computing Documentation Portal
7 Series FPGAs GTX/GTH Transceivers User Guide (UG476):AMD Adaptive Computing Documentation Portal
參考時鐘在軟件中使用IBUFDS_GTE2軟件原語實例化。控制參考時鐘輸入的端口和屬性綁定到IBUFDS_GTE2軟件原語。
?UltraScale 架構 GTH 收發器用戶指南 (?UG576?) :AMD Adaptive Computing Documentation Portal
參考時鐘輸入模式結構如圖2-1所示。輸入在內部終止,每條腿上的4/5 MGTAVCC用于UltraScale fpga,完整的MGTAVCC用于UltraScale+ fpga。參考時鐘在軟件中實例化,在UltraScale fpga中使用ibufds_gte3軟件原語,在UltraScale+ fpga中使用IBUFDS_GTE4軟件原語。控制參考時鐘輸入的端口和屬性綁定到ibufds_gte3 /4軟件原語。
帶OBUFDS_GTE3/4原語的參考時鐘輸出模式結構如圖2-2所示。控制參考時鐘輸出的端口和屬性綁定到UltraScale fpga中的obufds_gte3軟件原語和UltraScale+ fpga中的OBUFDS_GTE4軟件原語。
UltraScale 架構 GTY 收發器用戶指南 ( UG578 ) :AMD Adaptive Computing Documentation Portal
關于Xilinx原語詳解——IBUFDS & OBUFDS:
Xilinx原語詳解——IBUFDS & OBUFDS - 知乎
bufg和bufgp_vivado中BUFG和BUFGCE使用-CSDN博客