FPGA(一)Quartus II 13.1及modelsim與modelsim-altera安裝教程及可能遇到的相關問題

零.前言

? ? ? ? 在學習FPGA課程時,感覺學校機房電腦用起來不是很方便,想著在自己電腦上下載一個Quartus II 來進行 基于 vhdl 語言的FPGA開發。原以為是一件很簡單的事情,沒想到搜了全網文章發現幾乎沒有一個完整且詳細的流程教學安裝(也可能是我沒搜到,,???,,)【視頻b站上有,搞完才發現T.T】,因此想做一個純小白式安裝教程,將網上分享的幾位大佬關于安裝部分的流程都總結到一文當中,包括軟件及軟件配套仿真和芯片庫的安裝,讓大家花最少的時間完成安裝。相關文章鏈接在文末。


多圖預警

一.Quartus安裝

1.首先需要先去百度網盤下載相關資料

下載鏈接:百度網盤 請輸入提取碼

提取碼:qomk

?2.下載的是壓縮包,解壓后可以看到13個文件

先打開QuartusSetup-13.1.0.162.exe文件開始安裝。

3.安裝流程

(1)打開后點擊next

(2)選擇第一個accept,再點擊next

(3)選擇文件夾可以自定義安裝的位置,盡量建立一個新的文件夾(路徑不包括中文和特殊符號)來存放,不然很多子文件夾可能會填的很亂....,選擇好后點擊next

(4)除了最后一個不勾選外,其他全選(默認情況),當然也可以根據自己的芯片包需要更改Devices里面的內容,然后Next

(5)Summary確保有足夠的安裝空間,確認后點擊Next

(6)這里就開始自動安裝了,需要等待一段時間

如果你之前安裝過quartus卻沒有刪除干凈的話,它可能會問你是不是要建立quartus(copy),最好還是先把之前的卸載完全再重裝(卸載教程在后面)

(7)完成安裝后直接點擊Finish,最后一個feedback不用框選

4.破解流程

(1)64位系統解壓x64破解器文件,打開破解器.exe文件

選擇quartus安裝目錄下/bin64下的sys_cpt.dll文件,打開后點擊破解器的下一步(一定要關閉軟件先!!!),完成破解1后再點完成,進行gcl_afcq.dll的破解

打開軟件會提示30日試用,選擇最后一項,選擇

再用記事本打開license.dat文件將三個HOSTID的值改為NIC的任一值(我這里選擇了第一個,默認=XXXXX),更改完成后點擊文件->保存

在option中選擇更改后的license.dat的地址,顯示Version到2035即說明成功破解,點擊OK即可打開軟件


二.Modelsim-altera安裝

1.選擇ModelSimSetup-13.1.0.162.exe運行,開始安裝

2.安裝過程

(1)點擊next

(2)選擇第一個安裝,next

(3)選擇accept然后next

(4)單擊文件夾可以更改安裝位置,選擇完成后next

(5)確認空間充足后next

(6)等待安裝完成

(7)不用點框選,直接Finish


三.ModelSim安裝

1.版本選擇,32位系統選擇上面win32,64位系統選擇下面win64,這里我以64位的安裝為例

2.解壓縮文件,雙擊運行win64-10.4-se.exe文件

3.安裝流程

(1)直接單擊next

(2)單擊Browse可以更改安裝位置,單擊next

(3)直接點擊Agree

(4)等待安裝完成

(5)50%時候會跳兩個選型全選YES

(6)最后跳出的窗口選擇NO,禁止自動更新

(7)最后Done完成安裝

4.破解過程

(1)直接打開/win64/modelsim.exe會提示無注冊,軟件會自動關閉

(2)修改win64文件夾中mgls64.dll的屬性,取消勾選只讀

(3)打開crack文件夾,將里面的MentorKG.exe和patch_dll.bat一起拷貝到modelsim安裝目錄里的win64/win32(看第一步選擇了哪個系統)下

(4)先確認關閉了殺毒軟件,到之前win64文件夾中,運行patch_dll.bat,會自動打開cmd窗口,生成license文件,直接將其另存為win64文件夾當中

(默認存放在C:\Users\user\AppData\Local\Temp

(5)右鍵我的電腦點擊屬性,在系統界面中先點擊高級系統設置,再點擊環境變量

(6)點擊新建系統變量,設置環境變量名MGLS_LICENSE_FILE,變量值為license放置的路徑,最后確定

(7)返回后在系統屬性界面再點擊確定

(8)重新打開modelsim se-64成功運行說明破解成功


四.Quartus和Modelsim的配置

1.通過軟件快捷方式或者開始界面搜索打開quartus ii

2.

(1)打開Tools->option界面,選擇EDA Tool Options,點擊ModelSim和ModelSim-Altera的...選擇文件

(2)ModelSim中選擇win64文件夾,ModelSim-Altera中選擇win32aloem文件夾,最后點擊OK

3.

(1)配置compiler,Tools->Launch Simulaton Library Compiler

(2)Executable location選擇Modelsim的win64文件夾,Output directory選擇output_files(可以換其他文件夾),點擊Start

(3)編譯成功顯示全綠(有warning可以不管)

4.新建一個vhdl文件用來測試,復制測試代碼進去,保存文件名為div(與entity同名)

測試代碼(6分頻)

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;entity div isport(clk : in std_logic;rst : in std_logic; clkout :out std_logic);
end div;architecture rtl of div isconstant n   : integer range 0 to 10 := 6;  --這里的n可以是任意值,當然要大于1.
signal clk_p : std_logic;
signal clk_n : std_logic;signal cnt_p : integer range 0 to n;
signal cnt_n : integer range 0 to n;begin process(clk_p, clk_n)beginif((n mod 2) = 0)thenclkout <= clk_p;elseclkout <= clk_p or clk_n;end if;end process;process(clk, rst)beginif(rst = '0') thencnt_p <= 0;elsif(clk'event and clk = '1') thenif(cnt_p = n-1) thencnt_p <= 0;elsecnt_p <= cnt_p + 1;end if;end if;end process;process(clk, rst)beginif(rst = '0') thenclk_p <= '0';elsif(clk'event and clk = '1')thenif (cnt_p < (n/2)) thenclk_p <= '1';elseclk_p <= '0';end if ;end if;end process; process(clk, rst)beginif(rst = '0') thencnt_n <= 0;elsif(clk'event and clk = '0')thenif(cnt_n = n-1) thencnt_n <= 0;elsecnt_n <= cnt_n + 1;end if;end if;end process;process(clk, rst)beginif(rst = '0') thenclk_n <= '0';elsif(clk'event and clk = '0')thenif (cnt_n < (n/2)) thenclk_n <= '1';elseclk_n <= '0';end if ;end if;end process; 
end rtl;    

5.保存后會跳出是否建立新工程,選擇YES,進入工程建立指引界面

6.新建工程

(1)寫入工程名,選擇工程保存文件夾(建議新建空的不含中文文件夾)

(2)完成后不加別的文件,只有div.vhd,點擊next,來到芯片選擇界面

(3)我這里的芯片選擇是EP4CE6E22C8,先選擇Famliy才會出現對應的devices,完成后next

(4)Simulation選擇vhdl的ModelSim,然后可以直接點擊Finish,點Next的話是會顯示Summary

(5)在File->New->University Program VWF,最后點擊OK,新建波形仿真文件VWF

7.波形仿真

(1)右鍵左側空白區域打開Insert Node or Bus

(2)選擇Node Finder

(3)List相關引腳,點擊>>進行全部選擇移動,最后OK完成,返回后OK完成引腳插入

(4)接下來開始設置模擬引腳輸入頻率,同時將rst引腳拉高

(5)選擇YES保存更改,待仿真結束即可得到結果,說明前面配置全部完成

五.遇到的問題

1.

?** Error: (vdel-42) Unsupported ModelSim library format for "F:/FPGA_ FILE/FSM/simulation/modelsim/rtl _work". (Format: 4 )

? ? ? . ** Warning: (vdel-57) Library 'F:/FPGA_ FILE/FSM/simulation/modelsim/rtl_ work' is missing or is not a valid ModelSim library.

** Error: D:/altera/13.0/modelsim_ ase/win32aloem/vdel failed.

modelsim-altera軟件附帶了預編譯的仿真庫,如果使用modelsim-altera軟件,則不會編譯仿真庫(錯誤中文翻譯)

刪除當前工程的simultaion文件夾重新仿真即可

2.

The ModelSim-Altera software comes packaged with precompiled simulation libraries

Executable location改為ModelSim的,而不是modelsim-altera

3.

Failed to access library 'cycloneive_ver' at "cycloneive_ver"

EDA tools里面配置可能有誤,可以試下加\,或者重配置

六.卸載

Modelsim是和開始界面的快捷方式放一起的,右鍵快捷方式打開文件所在位置即可找到,運行uninstall 即可卸載

quartus ii 卸載也是在開始的文件快捷方式當中,不過在文件外


?

七.參考文章

http://t.csdnimg.cn/fXEOe

http://t.csdnimg.cn/tIPDj

http://t.csdnimg.cn/2qorA

http://t.csdnimg.cn/WshhK

http://t.csdnimg.cn/6KWA5

http://t.csdnimg.cn/i22f4

本文來自互聯網用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務,不擁有所有權,不承擔相關法律責任。
如若轉載,請注明出處:http://www.pswp.cn/news/913693.shtml
繁體地址,請注明出處:http://hk.pswp.cn/news/913693.shtml
英文地址,請注明出處:http://en.pswp.cn/news/913693.shtml

如若內容造成侵權/違法違規/事實不符,請聯系多彩編程網進行投訴反饋email:809451989@qq.com,一經查實,立即刪除!

相關文章

軟考(軟件設計師)存儲管理—存儲空間管理,文件共享保護

一、文件存取方法 1. 順序存取&#xff08;Sequential Access&#xff09; 原理&#xff1a;按記錄寫入順序依次訪問特點&#xff1a; 讀操作&#xff1a;讀取當前位置&#xff0c;指針自動前移寫操作&#xff1a;追加到文件末尾 適用場景&#xff1a;磁帶設備、日志文件 #merm…

Thinkphp6中如何將macro方法集成到Request類中

在學習crmeb的時候發現他使用了一個macro的方法用在中間件中&#xff0c;于對macro進行了簡單的研究&#xff0c;發現這個方法可以在中間件中進行定義一些方法&#xff0c;然后讓后面的控制器進行使用。 如&#xff1a; 在授權的中間件中&#xff0c;定義了$request->macro…

Java List 使用詳解:從入門到精通

一、List 基礎概念1.1 什么是 List&#xff1f;List 就像是一個智能書架&#xff1a;可以按順序存放書籍&#xff08;元素&#xff09;每本書都有固定位置&#xff08;索引&#xff09;可以隨時添加、取出或重新排列書籍// 創建一個書架&#xff08;List&#xff09; List<S…

Java零基礎筆記06(數組:一維數組、二維數組)

明確: 程序是用來處理數據的, 因此要掌握數據處理的數據結構數組是編程中常用的數據結構之一&#xff0c;用于存儲一系列相同類型的元素。在Java中&#xff0c;數組是一種對象&#xff0c;可以存儲固定大小的相同類型元素的集合。1.一維數組數組是一個數據容器,可用來存儲一批同…

10倍處理效率提升!阿里云大數據AI平臺發布智能駕駛數據預處理解決方案

阿里云大數據AI平臺重磅發布智能駕駛數據預處理解決方案&#xff0c;可幫助汽車行業客戶實現構建高效、穩定的數據預處理產線流程&#xff0c;數據包處理效率相比自建可提升10倍以上&#xff0c;數據處理推理任務優化提速1倍以上&#xff0c;相同資源產能提升1倍[1]&#xff0c…

SAP HANA內存數據庫解析:特性、優勢與應用場景 | 技術指南

SAP HANA 是一款列式內存關系數據庫&#xff0c;集 OLAP 和 OLTP 操作于一體。相較于同類產品&#xff0c;SAP HANA 需要的磁盤空間更少&#xff0c;并且可擴展性高。SAP HANA 可以部署在本地、公有云或私有云以及混合場景中。該數據庫適用于各種數據類型的高級分析和事務處理。…

Openharmony4.0 rk3566上面rknn的完美調用

一 背景&#xff1a; 我們都知道如果要在android上面使用rknn推理模型需要按照如下的步驟&#xff1a; 詳細請參考筆者的文章&#xff1a;Android11-rk3566平臺上采用NCNN&#xff0c;RKNN框架推理yolo11官方模型的具體步驟以及性能比較-CSDN博客 簡而言之就是 模型轉換&#…

Java多線程知識小結:Synchronized

在Java中&#xff0c;synchronized 關鍵字是實現線程同步的核心工具&#xff0c;用于保證同一時刻只有一個線程可以執行被修飾的代碼塊或方法。以下從基本原理、鎖升級過程、應用場景及優化建議四個維度詳細解析&#xff1a; 一、基本原理 1. 同步的對象 synchronized 鎖的是對…

MTK項目wifi.cfg文件如何配置的Tput和功耗參數

下面的MTK參數主要與無線網絡(Wi-Fi)配置相關,特別是與WMM(Wi-Fi Multimedia)和功率控制相關的設置 WMM相關參數: WmmParamCwMax/WmmParamCwMin:定義競爭窗口的最大/最小值,這里設置為10/4,用于控制信道訪問的退避機制13 WmmParamAifsN:仲裁幀間間隔數,設置為3影響不同…

分水嶺算法:圖像分割的浸水原理

分水嶺算法&#xff1a;基于拓撲地貌的邊界提取核心原理 分水嶺算法將圖像視為拓撲地貌&#xff0c;灰度值代表海拔高度。通過模擬浸水過程&#xff1a;局部極小值&#xff1a;對應集水盆&#xff08;區域內部&#xff09;。分水嶺線&#xff1a;集水盆之間的山脊&#xff08;區…

汽車功能安全系統階段開發【技術安全方案TSC以及安全分析】5

文章目錄1 技術安全方案 (Technical Safety Concept - TSC)2 系統安全架構設計 (System Safety Architecture Design)3 如何進行安全分析 (Safety Analysis)4 技術安全需求 (TSR) 如何分配到系統架構1 技術安全方案 (Technical Safety Concept - TSC) 技術安全方案 (Technical…

學習軟件測試的第十二天(接口測試)

一.如果一個接口請求不通&#xff0c;那么你會考慮那些方面的問題&#xff1f;如果一個接口請求不通&#xff0c;我會像“排查水管漏水”一樣一步步定位問題發生在哪一段&#xff0c;主要從這幾個方向去思考&#xff1a;當一個接口請求不通時&#xff0c;我會從以下幾個方面進行…

Linux下的C/C++開發之操作Zookeeper

ZooKeeper C 客戶端簡介與安裝ZooKeeper C API 簡介ZooKeeper 官方提供了多語言客戶端&#xff0c;C 語言客戶端是最底層的實現之一&#xff0c;功能全面且穩定&#xff0c;適合嵌入式開發、系統級組件、C 項目集成等場景。zookeeper.h 是 ZooKeeper 提供的 C 語言客戶端頭文件…

【openp2p】學習3:【專利分析】一種基于混合網絡的自適應切換方法、裝 置、設備及介質

本專利與開源項目無關,但可能是實際商用的一種專利。專利地址從此專利,可見p2p的重要性。透傳服務可能是實時轉發服務,提供中繼能力 透傳服務可以是指一種通過公網服務器將數據從第一客戶端傳遞到另一個設備 或客戶端的服務。這種服務通常用于克服網絡中的障礙,如防火墻、…

OpenCV中DPM(Deformable Part Model)目標檢測類cv::dpm::DPMDetector

操作系統&#xff1a;ubuntu22.04 OpenCV版本&#xff1a;OpenCV4.9 IDE:Visual Studio Code 編程語言&#xff1a;C11 算法描述 OpenCV 中用于基于可變形部件模型&#xff08;DPM&#xff09; 的目標檢測器&#xff0c;主要用于行人、人臉等目標的檢測。它是一種傳統的基于特…

macOS 26快捷指令更新,融入AI打造智能操作體驗

快捷指令作為Mac系統中提升用戶操作效率的得力助手&#xff0c;在macOS 26中迎來了一次具有突破性的重大更新。此次更新融入了先進的AI技術&#xff0c;推出“智能操作”&#xff08;Intelligent Actions&#xff09;功能&#xff0c;讓快捷指令從簡單的自動化工具升級為真正的…

InstructBLIP:邁向具備指令微調能力的通用視覺語言模型

溫馨提示&#xff1a; 本篇文章已同步至"AI專題精講" InstructBLIP&#xff1a;邁向具備指令微調能力的通用視覺語言模型 摘要 大規模的預訓練與instruction tuning在構建通用語言模型方面已取得顯著成效。然而&#xff0c;構建通用的視覺-語言模型仍然具有挑戰性&…

基于dropbear實現嵌入式系統ssh服務端與客戶端完整交互

以下基于 Dropbear 實現 SSH 服務端與客戶端交互的完整步驟&#xff0c;涵蓋服務端部署、客戶端連接、認證配置及消息傳輸&#xff0c;結合了多篇權威資料的核心實踐&#xff1a;環境準備與安裝 服務端安裝 ? Linux 系統&#xff08;以 Ubuntu/CentOS 為例&#xff09; Ubuntu…

深圳安銳科技發布國內首款4G 索力儀!讓斜拉橋索力自動化監測更精準高效

近日&#xff0c;深圳安銳科技正式發布國內首款無線自供電、一體化的斜拉索實時監測設備 “4G索力監測儀”&#xff0c;成功攻克了傳統橋梁索體監測領域長期存在的實時性差、布設困難和成本高昂的行業難題&#xff0c;為斜拉橋、系桿拱橋提供全無線、自動化、云端實時同步的索力…

Pipeline 引用外部數據源最佳實踐

場景解析在企業網絡安全日志處理場景中&#xff0c;防火墻、入侵檢測系統&#xff08;IDS&#xff09;等設備會持續產生大量日志&#xff0c;記錄網絡流量、訪問請求、異常事件等基礎信息&#xff0c;但這些原始日志僅能呈現表面現象&#xff0c;難以全面剖析安全威脅&#xff…