零.前言
? ? ? ? 在學習FPGA課程時,感覺學校機房電腦用起來不是很方便,想著在自己電腦上下載一個Quartus II 來進行 基于 vhdl 語言的FPGA開發。原以為是一件很簡單的事情,沒想到搜了全網文章發現幾乎沒有一個完整且詳細的流程教學安裝(也可能是我沒搜到,,???,,)【視頻b站上有,搞完才發現T.T】,因此想做一個純小白式安裝教程,將網上分享的幾位大佬關于安裝部分的流程都總結到一文當中,包括軟件及軟件配套仿真和芯片庫的安裝,讓大家花最少的時間完成安裝。相關文章鏈接在文末。
多圖預警
一.Quartus安裝
1.首先需要先去百度網盤下載相關資料
下載鏈接:百度網盤 請輸入提取碼
提取碼:qomk
?2.下載的是壓縮包,解壓后可以看到13個文件
先打開QuartusSetup-13.1.0.162.exe文件開始安裝。
3.安裝流程
(1)打開后點擊next
(2)選擇第一個accept,再點擊next
(3)選擇文件夾可以自定義安裝的位置,盡量建立一個新的文件夾(路徑不包括中文和特殊符號)來存放,不然很多子文件夾可能會填的很亂....,選擇好后點擊next
(4)除了最后一個不勾選外,其他全選(默認情況),當然也可以根據自己的芯片包需要更改Devices里面的內容,然后Next
(5)Summary確保有足夠的安裝空間,確認后點擊Next
(6)這里就開始自動安裝了,需要等待一段時間
如果你之前安裝過quartus卻沒有刪除干凈的話,它可能會問你是不是要建立quartus(copy),最好還是先把之前的卸載完全再重裝(卸載教程在后面)
(7)完成安裝后直接點擊Finish,最后一個feedback不用框選
4.破解流程
(1)64位系統解壓x64破解器文件,打開破解器.exe文件
選擇quartus安裝目錄下/bin64下的sys_cpt.dll文件,打開后點擊破解器的下一步(一定要關閉軟件先!!!),完成破解1后再點完成,進行gcl_afcq.dll的破解
打開軟件會提示30日試用,選擇最后一項,選擇
再用記事本打開license.dat文件將三個HOSTID的值改為NIC的任一值(我這里選擇了第一個,默認=XXXXX),更改完成后點擊文件->保存
在option中選擇更改后的license.dat的地址,顯示Version到2035即說明成功破解,點擊OK即可打開軟件
二.Modelsim-altera安裝
1.選擇ModelSimSetup-13.1.0.162.exe運行,開始安裝
2.安裝過程
(1)點擊next
(2)選擇第一個安裝,next
(3)選擇accept然后next
(4)單擊文件夾可以更改安裝位置,選擇完成后next
(5)確認空間充足后next
(6)等待安裝完成
(7)不用點框選,直接Finish
三.ModelSim安裝
1.版本選擇,32位系統選擇上面win32,64位系統選擇下面win64,這里我以64位的安裝為例
2.解壓縮文件,雙擊運行win64-10.4-se.exe文件
3.安裝流程
(1)直接單擊next
(2)單擊Browse可以更改安裝位置,單擊next
(3)直接點擊Agree
(4)等待安裝完成
(5)50%時候會跳兩個選型全選YES
(6)最后跳出的窗口選擇NO,禁止自動更新
(7)最后Done完成安裝
4.破解過程
(1)直接打開/win64/modelsim.exe會提示無注冊,軟件會自動關閉
(2)修改win64文件夾中mgls64.dll的屬性,取消勾選只讀
(3)打開crack文件夾,將里面的MentorKG.exe和patch_dll.bat一起拷貝到modelsim安裝目錄里的win64/win32(看第一步選擇了哪個系統)下
(4)先確認關閉了殺毒軟件,到之前win64文件夾中,運行patch_dll.bat,會自動打開cmd窗口,生成license文件,直接將其另存為win64文件夾當中
(默認存放在C:\Users\user\AppData\Local\Temp)
(5)右鍵我的電腦點擊屬性,在系統界面中先點擊高級系統設置,再點擊環境變量
(6)點擊新建系統變量,設置環境變量名MGLS_LICENSE_FILE,變量值為license放置的路徑,最后確定
(7)返回后在系統屬性界面再點擊確定
(8)重新打開modelsim se-64成功運行說明破解成功
四.Quartus和Modelsim的配置
1.通過軟件快捷方式或者開始界面搜索打開quartus ii
2.
(1)打開Tools->option界面,選擇EDA Tool Options,點擊ModelSim和ModelSim-Altera的...選擇文件
(2)ModelSim中選擇win64文件夾,ModelSim-Altera中選擇win32aloem文件夾,最后點擊OK
3.
(1)配置compiler,Tools->Launch Simulaton Library Compiler
(2)Executable location選擇Modelsim的win64文件夾,Output directory選擇output_files(可以換其他文件夾),點擊Start
(3)編譯成功顯示全綠(有warning可以不管)
4.新建一個vhdl文件用來測試,復制測試代碼進去,保存文件名為div(與entity同名)
測試代碼(6分頻)
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;entity div isport(clk : in std_logic;rst : in std_logic; clkout :out std_logic);
end div;architecture rtl of div isconstant n : integer range 0 to 10 := 6; --這里的n可以是任意值,當然要大于1.
signal clk_p : std_logic;
signal clk_n : std_logic;signal cnt_p : integer range 0 to n;
signal cnt_n : integer range 0 to n;begin process(clk_p, clk_n)beginif((n mod 2) = 0)thenclkout <= clk_p;elseclkout <= clk_p or clk_n;end if;end process;process(clk, rst)beginif(rst = '0') thencnt_p <= 0;elsif(clk'event and clk = '1') thenif(cnt_p = n-1) thencnt_p <= 0;elsecnt_p <= cnt_p + 1;end if;end if;end process;process(clk, rst)beginif(rst = '0') thenclk_p <= '0';elsif(clk'event and clk = '1')thenif (cnt_p < (n/2)) thenclk_p <= '1';elseclk_p <= '0';end if ;end if;end process; process(clk, rst)beginif(rst = '0') thencnt_n <= 0;elsif(clk'event and clk = '0')thenif(cnt_n = n-1) thencnt_n <= 0;elsecnt_n <= cnt_n + 1;end if;end if;end process;process(clk, rst)beginif(rst = '0') thenclk_n <= '0';elsif(clk'event and clk = '0')thenif (cnt_n < (n/2)) thenclk_n <= '1';elseclk_n <= '0';end if ;end if;end process;
end rtl;
5.保存后會跳出是否建立新工程,選擇YES,進入工程建立指引界面
6.新建工程
(1)寫入工程名,選擇工程保存文件夾(建議新建空的不含中文文件夾)
(2)完成后不加別的文件,只有div.vhd,點擊next,來到芯片選擇界面
(3)我這里的芯片選擇是EP4CE6E22C8,先選擇Famliy才會出現對應的devices,完成后next
(4)Simulation選擇vhdl的ModelSim,然后可以直接點擊Finish,點Next的話是會顯示Summary
(5)在File->New->University Program VWF,最后點擊OK,新建波形仿真文件VWF
7.波形仿真
(1)右鍵左側空白區域打開Insert Node or Bus
(2)選擇Node Finder
(3)List相關引腳,點擊>>進行全部選擇移動,最后OK完成,返回后OK完成引腳插入
(4)接下來開始設置模擬引腳輸入頻率,同時將rst引腳拉高
(5)選擇YES保存更改,待仿真結束即可得到結果,說明前面配置全部完成
五.遇到的問題
1.
?** Error: (vdel-42) Unsupported ModelSim library format for "F:/FPGA_ FILE/FSM/simulation/modelsim/rtl _work". (Format: 4 )
? ? ? . ** Warning: (vdel-57) Library 'F:/FPGA_ FILE/FSM/simulation/modelsim/rtl_ work' is missing or is not a valid ModelSim library.
** Error: D:/altera/13.0/modelsim_ ase/win32aloem/vdel failed.
modelsim-altera軟件附帶了預編譯的仿真庫,如果使用modelsim-altera軟件,則不會編譯仿真庫(錯誤中文翻譯)
刪除當前工程的simultaion文件夾重新仿真即可
2.
The ModelSim-Altera software comes packaged with precompiled simulation libraries
Executable location改為ModelSim的,而不是modelsim-altera
3.
Failed to access library 'cycloneive_ver' at "cycloneive_ver"
EDA tools里面配置可能有誤,可以試下加\,或者重配置
六.卸載
Modelsim是和開始界面的快捷方式放一起的,右鍵快捷方式打開文件所在位置即可找到,運行uninstall 即可卸載
quartus ii 卸載也是在開始的文件快捷方式當中,不過在文件外
?
七.參考文章
http://t.csdnimg.cn/fXEOe
http://t.csdnimg.cn/tIPDj
http://t.csdnimg.cn/2qorA
http://t.csdnimg.cn/WshhK
http://t.csdnimg.cn/6KWA5
http://t.csdnimg.cn/i22f4