FPGA基礎 -- Verilog行為建模之循環語句

行為級建模(Behavioral Modeling)是 Verilog HDL 中最接近軟件編程語言的一種描述方式,適用于功能建模和仿真建模的初期階段。在行為級中,循環語句(loop statements)是常見且重要的控制結構,用于重復執行一段操作。

我們從淺到深系統講解 Verilog 中的行為級建模循環語句,分為以下幾個層次:


一、基礎循環語句類型總覽

Verilog 提供了以下幾種循環語句:

語句類型說明
repeat重復固定次數
while當條件成立時反復執行
for類似 C 語言的 for 循環
forever無限循環,需配合控制跳出
disable控制塊跳出(用于循環中斷)

二、每種循環語句用法詳解

1. repeat —— 固定次數循環(常用于 testbench)

repeat (10) begin$display("This will repeat 10 times");
end
  • 用途:模擬信號發送次數、延時等待。
  • 特點:適用于已知重復次數的仿真控制場景。
  • 限制:不可用于綜合(synthesis),只能用于 testbench。

2. while —— 條件判斷循環

integer i;
i = 0;
while (i < 5) begin$display("i = %d", i);i = i + 1;
end
  • 用途:邏輯上需要動態條件判斷,如串口接收緩沖區不為空。
  • 注意:必須保證循環終止條件能達到,否則會導致仿真死循環。

3. for —— 行為建模中的核心循環

integer i;
for (i = 0; i < 8; i = i + 1) beginmemory[i] = 8'h00;
end
  • 用途

    • 初始化數組(如寄存器堆、存儲器)
    • 批量賦值、批量比較等
  • 綜合性

    • 可以綜合,但要求所有變量為常量可計算的確定值(如 i 為 integer)。
  • 工程實戰

    • 初始化寄存器堆通常寫在 reset 同步邏輯中配合 for 使用:
always @(posedge clk or negedge rstn) beginif (!rstn) beginfor (i = 0; i < 32; i = i + 1)regfile[i] <= 32'b0;end
end

4. forever —— 永久執行,需手動退出

forever beginclk = ~clk;#5;
end
  • 用途:testbench 中產生時鐘信號。
  • 注意:不能用于綜合。
  • 中止方式disable$finish$stop

三、循環控制語句

1. break 類似功能:disable

Verilog 沒有 break,但可使用 disable 加標簽方式跳出循環:

task example;integer i;begin : loop_labelfor (i = 0; i < 10; i = i + 1) beginif (some_cond)disable loop_label;endend
endtask

2. continue 類似功能:無直接支持

Verilog 不支持 continue,但可以用 if (!cond) begin ... end 模擬跳過。


四、工程實踐中的循環應用范式

1. 數組初始化

reg [7:0] rom [0:255];initial begininteger i;for (i = 0; i < 256; i = i + 1)rom[i] = i;
end

2. 資源優化(展開 vs 不展開)

綜合器對 for 循環的展開是靜態展開(loop unrolling)

for (i = 0; i < 4; i = i + 1)sum = sum + data[i];
  • 如果 i 是常量范圍,綜合器可完全展開,生成多個并行加法器;
  • 如果 i 范圍是變量,綜合器可能報錯或不綜合。

3. 行為建模轉 RTL 要注意

  • for 循環必須是定長常量
  • 不能在時序邏輯里嵌套 whileforever
  • 綜合器不支持 repeatforever

五、進階:循環與生成語句(區別點)

行為級循環不能用于生成模塊/邏輯結構,而要用 generate

genvar i;
generatefor (i = 0; i < 4; i = i + 1) begin : gen_blkassign y[i] = a[i] & b[i];end
endgenerate
  • generate for:結構建模(結構展開),用于 RTL;
  • for:行為建模(過程建模),用于 testbench 或同步邏輯中。

六、仿真建議與調試技巧

  • 習慣于在 for/while 內加入 $display$monitor 輸出;
  • 對循環次數不確定的場景(如 while)加入最大迭代保護;
  • $fatal 報錯提示異常退出條件,防止仿真死鎖。

七、常見誤區總結

錯誤類型示例問題說明
死循環while (1)若無跳出條件會仿真卡死
動態上限for (i = 0; i < N; ...)N 不為常量時綜合失敗
跨時鐘在一個 always 中 for 調用跨時鐘變量違背同步邏輯建模原則

八、結語

Verilog 行為級循環語句強大靈活,但也要掌握其:

  • 可綜合限制
  • 仿真 vs 綜合的邊界
  • 實際工程中安全使用規范

本文來自互聯網用戶投稿,該文觀點僅代表作者本人,不代表本站立場。本站僅提供信息存儲空間服務,不擁有所有權,不承擔相關法律責任。
如若轉載,請注明出處:http://www.pswp.cn/web/84811.shtml
繁體地址,請注明出處:http://hk.pswp.cn/web/84811.shtml
英文地址,請注明出處:http://en.pswp.cn/web/84811.shtml

如若內容造成侵權/違法違規/事實不符,請聯系多彩編程網進行投訴反饋email:809451989@qq.com,一經查實,立即刪除!

相關文章

從C學C++(7)——static成員

從C學C(7)——static成員 若無特殊說明&#xff0c;本博客所執行的C標準均為C11. static成員和成員函數 對于特定類型的全體對象而言&#xff0c;有時候可能需要訪問一個全局的變量。比如說統計某種類型對象已創建的數量。 通常在C中使用全局變量來實現&#xff0c;如果我們…

大模型和ollama一起打包到一個docker鏡像中

如何將大模型鏡像和 Ollama 鏡像打包在一個 Docker 鏡像中 最近工作中有個需求是將ollama和大模型一起打成一個鏡像部署&#xff0c;將自己的操作步驟分享給大家。將大模型與 Ollama 服務打包在同一個 Docker 鏡像中&#xff0c;可以簡化部署流程并確保環境一致性。下面詳細介…

2025年滲透測試面試題總結-攻防研究員(應用安全)(題目+回答)

安全領域各種資源&#xff0c;學習文檔&#xff0c;以及工具分享、前沿信息分享、POC、EXP分享。不定期分享各種好玩的項目及好用的工具&#xff0c;歡迎關注。 目錄 攻防研究員(應用安全) 一、基礎部分 1. HTTP狀態碼對比 2. HTTP請求方法核心作用 3. 網絡分層協議速查表…

SpringBoot新聞項目學習day3--后臺權限的增刪改查以及權限管理分配

新增管理員修改管理員刪除管理員登錄 新增管理員 1.點擊新增按鈕打開一個對話框 2.確定新增對話框要顯示哪些內容 3.提交 4.后端處理、保存 5.響應前端 vue代碼 <template><!-- 新增代碼內容是比較多的,建議抽取出來,定義到一個獨立的vue文件中在列表組件中導入…

算法導論第二十五章 深度學習的倫理與社會影響

第二十五章 深度學習的倫理與社會影響 技術的光芒不應掩蓋倫理的陰影 隨著深度學習技術在各領域的廣泛應用&#xff0c;其引發的倫理和社會問題日益凸顯。本章將深入探討這些挑戰&#xff0c;并提供技術解決方案和最佳實踐&#xff0c;引導讀者構建負責任的人工智能系統。 25.…

Linux中ansible模塊補充和playbook講解

一、模塊使用 1.1 Yum模塊 功能&#xff1a;管理軟件包&#xff0c;只支持RHEL&#xff0c;CentOS&#xff0c;fedora&#xff0c;不支持Ubuntu其它版本 參數說明name要操作的軟件包名稱&#xff0c;支持通配符&#xff08;如 httpd, nginx*&#xff09;&#xff0c;也可以是…

唐代大模型:智能重構下的盛世文明圖譜

引言&#xff1a;當長安城遇見深度學習 一件唐代鎏金舞馬銜杯銀壺的虛擬復原品正通過全息投影技術演繹盛唐樂舞。這個跨越時空的場景&#xff0c;恰似唐代大模型技術的隱喻——以人工智能為紐帶&#xff0c;連接起長安城的盛世氣象與數字時代的文明重構。作為人工智能與歷史學…

國產ARM/RISCV與OpenHarmony物聯網項目(三)網關設備控制

一、設備控制界面與功能設計 程序界面運行與設計效果如下: 設備控制相關程序調用關系圖如下&#xff1a; 其中device_control.html程序為網頁界面顯示程序&#xff0c;led_alarm.cgi程序為光線數據的報警超限數據設置與管理&#xff0c;led_control.cgi程序功能為對Led燈的開…

微信小程序反編譯實戰教程

在實際滲透測試或安全分析中&#xff0c;經常會遇到微信小程序中的簽名加密&#xff08;sign&#xff09;機制&#xff0c;這些機制大多具備防重放、防篡改的特性&#xff0c;導致我們在抓包時難以直接復現請求。 &#x1f50d; 另一方面&#xff0c;一些小程序的代碼中往往會…

【NLP入門系列三】NLP文本嵌入(以Embedding和EmbeddingBag為例)

&#x1f368; 本文為&#x1f517;365天深度學習訓練營 中的學習記錄博客&#x1f356; 原作者&#xff1a;K同學啊 博主簡介&#xff1a;努力學習的22級本科生一枚 &#x1f31f;?&#xff1b;探索AI算法&#xff0c;C&#xff0c;go語言的世界&#xff1b;在迷茫中尋找光芒…

文心一言(ERNIE Bot):百度打造的知識增強大語言模型

1. 產品概述 文心一言&#xff08;ERNIE Bot&#xff09;是百度自主研發的知識增強大語言模型&#xff0c;于2023年3月16日正式發布&#xff0c;對標OpenAI的ChatGPT&#xff0c;具備文本生成、多模態交互、邏輯推理、中文理解等能力。該模型基于百度的飛槳深度學習平臺和文心…

Java-49 深入淺出 Tomcat 手寫 Tomcat 實現【02】HttpServlet Request RequestProcessor

點一下關注吧&#xff01;&#xff01;&#xff01;非常感謝&#xff01;&#xff01;持續更新&#xff01;&#xff01;&#xff01; &#x1f680; AI篇持續更新中&#xff01;&#xff08;長期更新&#xff09; 目前2025年06月13日更新到&#xff1a; AI煉丹日志-28 - Aud…

在VB.net中,文本插入的幾個自定義函數

一、如果你是高手&#xff0c;一定“識貨”&#xff0c;分享給你 二、可應用于文本插入的幾種方式&#xff1a;6種 三、需要用到以下的幾個函數&#xff1a; 上代碼&#xff1a; Module TextModule <summary> 在指定位置插入文本 </summary> <p…

QC -io 服務器排查報錯方式/報錯: Failed to convert string to integer of varId variable!“

進斷點控制臺有報錯之后&#xff0c;復制報錯信息到 頭部菜單欄 1.編輯 -> 2.Find/Replace ->3.Advanced Find ->4. Project“xxxxx” 能找到問題點 再分析定位 在排查報錯時候&#xff0c;進入了這個報錯&#xff0c;msgInfo "MyTcpRedis: Failed to conver…

c++中auto與decltype使用

在 C11及后續版本中&#xff0c;關鍵字auto和decltype都是用于類型推導的&#xff0c;但它們的使用場景和行為有所不同。 1. auto 關鍵字 作用 auto 用于自動推導變量的類型&#xff0c;由編譯器根據初始化表達式來確定。 常見用法 // 基本用法 auto x 42; // int…

LabVIEW機器視覺零件檢測

基于LabVIEW 圖形化編程平臺與機器視覺技術&#xff0c;構建集圖像采集、處理、尺寸計算與合格性分析于一體的自動化檢測方案。通過模塊化硬件架構與自適應算法設計&#xff0c;實現對機械零件多維度尺寸的非接觸式高精度測量&#xff0c;相比人工檢測效率提升 12 倍&#xff0…

大數據治理域——實時數據開發

摘要 本文深入探討了大數據治理域中的實時數據開發&#xff0c;重點介紹了流式數據處理的核心價值、特點、技術挑戰、典型能力和應用場景。同時&#xff0c;詳細闡述了流式技術架構&#xff0c;包括數據采集、處理、存儲和服務等環節&#xff0c;并針對大促場景提出了相應的技…

Halcon/C# 圖像窗口、讀取圖片及仿射變換

一、Halcon 清理窗口 清除圖像窗口的顯示。 dev_clear_window() 二、Halcon 讀取圖片 (一) 讀取一張圖片 read_image (Image, printer_chip/printer_chip_01)Image&#xff1a;&#xff08;輸出參數&#xff09;讀取到的圖片變量名 第二個參數&#xff1a;圖片路徑&#xf…

Nginx 反向代理服務和安裝docker-compose

Nginx 反向代理服務和安裝docker-compose Nginx Proxy Manager 他是一個可視化的nginx的反向代理神器&#xff0c;動動手指輕松的配置Nginx&#xff0c;我們可以通過一些網頁&#xff0c;即可完成網站的代理配置&#xff0c;無需在動手安裝Nginx&#xff1b; dockoer-compose部…

FPGA基礎 -- Verilog 鎖存器簡介

由淺入深地講解 Verilog 中的鎖存器&#xff08;Latch&#xff09;**&#xff0c;包括&#xff1a; 什么是鎖存器&#xff08;定義與作用&#xff09;鎖存器的分類&#xff08;透明鎖存器 vs 邊沿觸發器&#xff09;Verilog 中鎖存器的建模方式鎖存器與觸發器的區別鎖存器的時…